马金鑫的个人空间 https://blog.eetop.cn/1095590 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

nvverilog使用笔记

已有 1449 次阅读| 2017-3-10 20:52 |系统分类:硬件设计

ncverilog 是 shell 版的, nclaunch 是以图形界面为基础的,二者调用相同内核;
ncverilog 的执行有三步模式和单步模式,在 nclaunch 中对应 multiple step 和
step
ncverilog 的三步模式为: ncvlog(编译)
ncelab(建立 snapshot 文件)
ncsim(对 snapshot 文件进行仿真)
基于 shell 的 ncverilog 操作(尤其是单步模式)更适合于大批量操作
ncverilog 的波形查看配套软件是 simvision,其中包含原理图、波形、信号流等查
( 1) 三命令模式:
ncvlog -f run.f
ncelab tb -access wrc
ncsim tb -gui


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 1

    获赞
  • 1

    评论
  • 1090

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 23:25 , Processed in 0.025968 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部