swary的个人空间 https://blog.eetop.cn/807489 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

三大仿真工具

已有 1974 次阅读| 2017-7-6 13:18 |个人分类:仿真器|系统分类:硬件设计

在过去的三年里,使用了很多不同环境下的不同仿真工具。现一一罗列,比较记忆。主流的仿真工具是EDA三巨头发布的分别为Cadencen的irun,Synopsys的vcs和Mentor Graphic的modelsim或questasim。
【1】irun替代了原来的ncverilog,而且支持多种语言编译,做大大统一。默认情况下,irun语法错误的容忍度太高,好多语法问题不能检查出来。现在公司一直用这个。
【2】vcs在跟合作单位交互时候用过,一般对代码进行加密。而且的容忍度比较低。
【3】modelsim在在校的时候用过,gui和batch mode都使用过。后来在工作中使用0in时候又接触了questasim。这两者命令都是一样的。具体的区别没有研究过,网上瞟了一眼,questasim比modelsim的特性更多。

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 11

    获赞
  • 16

    评论
  • 3125

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 15:38 , Processed in 0.026973 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部