swary的个人空间 https://blog.eetop.cn/807489 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

vcs,irun产生fsdb文件的设置

热度 3已有 8757 次阅读| 2017-6-14 17:10 |个人分类:仿真器|系统分类:硬件设计

业内主流使用的仿真工具Synopsys vcs和Candence irun。如何使用这两个工具产生fsdb文件呢?
首先需要在顶层添加一个block:内如如下
initial begin
$fsdbDumpfile("dut.fsdb");
$fsdbDumpvars(level,hirarchy,["+all"]);
end

本人使用的x86-64系统
【1】vcs
1)在cshrc文件设置NOVAS_HOME环境变量,指向verdi的主目录。例如:
setenv NOVAS_HOME /disc/verdi
2)在启动vcs时候添加 +fsdb 选项。
        3)此外,由于是x86-64系统,所以还需要添加-full64 。以解决stubs-32.h问题
【2】irun
1)在cshrc文件设置LD_LIBRARY_PATH环境变量,指向irun调用的PLI,例如:
setevn LD_LIBRARY_PATH  /disc/verdi/share/PLI/IUS/LINUX64 $LD_LIBRARY_PATH 
2)在启动irun时候添加 -64bit选项。

点赞

发表评论 评论 (6 个评论)

回复 sdlyyuxi 2017-6-26 13:45
:handshake
回复 swary 2017-6-27 13:14
:handshake
回复 swary 2017-6-27 13:15
原帖由sdlyyuxi于2017-06-26 13:45:10发表 :handshake
:loveliness:
回复 SuperJourney 2020-1-10 19:33
good!
回复 hjh_bindyu 2020-5-17 12:20
thanks
回复 kangkang005 2022-3-21 19:08
谢谢

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 11

    获赞
  • 16

    评论
  • 3125

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:32 , Processed in 0.013940 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部