swary的个人空间 https://blog.eetop.cn/807489 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

时钟分频电路

已有 1311 次阅读| 2017-5-26 15:14 |系统分类:芯片设计

1、偶数分频电路实现

目标:可参数化偶数分频电路,占空比50%。参数WIDTH和DIV_RATIO。
【1】DIV_RATIO:分频系数
        【2】WIDTH:分频系数的位宽
example:12分频电路,修改两个参数实现任意偶数分频。
code:
module clk_div_even #(
parameter WIDTH         = 4,
parameter DIV_RATIO = 12
) (
input clk ,
input rst_n ,
output reg clk_even
);
localparam THRESH_HOLD = DIV_RATIO >> 1;

wire c_timeout ;
wire [WIDTH-1:0] nxt_cnt;
reg  [WIDTH-1:0] r_cnt;
                wire   c_half_value;

assign  c_timeout = (r_cnt == DIV_RATIO-1) ? 1'b1 : 1'b0;
                assign   nxt_cnt = c_timeout ? {WIDTH{1'b0}} : r_cnt + 1;
                always@(posedge clk or negedge rst_n) begin
if(~rst_n)  r_cnt <= 0;
else           r_cnt <= nxt_cnt;
end
//duty ratio
assign c_half_value = (r_cnt < THRESH_HOLD) ? 1'b0 : 1'b1;
always@(posedge clk or negedge rst_n) begin
if(~rst_n)  clk_even <= 1'b0;
 else    clk_even <= c_half_value;
  end

endmodule

2、奇数分频电路实现


待续!!!

点赞

发表评论 评论 (1 个评论)

回复 风释怀 2017-6-2 10:44
:funk: :funk: :funk: 还好吧

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 11

    获赞
  • 16

    评论
  • 3125

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 11:19 , Processed in 0.014698 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部