新思科技推出下一代Design Compiler,进一步强化Synthesis领先地位

2018-11-13 18:14:30 来源:未知

Design Compiler NXT将运行时间缩短2倍,QoR提高5%,并支持5nm及更先进的工艺节点

重点:

· Design Compiler NXT采用创新、高效的优化引擎,可将运行速度提升2倍,并提供基于云计算的分布式综合(synthesis)技术,从而进一步加快运行速度。

· 支持先进工艺节点,通过平台化的通用库以及与IC Compiler II校准的RC寄生参数提取,实现在5nm以及更先进工艺节点下极为紧密的相关一致性。

· 全新的时序和功耗优化扩展了Design Compiler的QoR优势,这对于高性能和低功耗设计至关重要。

· Design Compiler NXT能够即插即用,与Design Compiler Graphical具有用户界面和脚本的兼容性。

2018年11月13日,中国 北京——新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS)宣布,推出Design Compiler系列的全新RTL Synthesis产品Design Compiler® NXT,进一步扩大了Design Compiler Graphical的市场领先地位。Design Compiler NXT通过创新性的核心技术同时满足了诸如人工智能AI)、云计算、5G和自动驾驶等半导体市场对更小体积、更高性能、更低功耗的集成电路(IC)的需求,以及对研发周期越来越高的要求。

瑞萨电子广泛解决方案业务部共享研发第二分部副总裁Tatsuji Kagatani表示:“Design Compiler Graphical多年来一直是我们最为信赖的综合工具,是我们用于开发先进SoC和MCU芯片的核心软件。我们正在同新思科技基于Design Compiler NXT中的最新综合技术进行深入合作,并期待通过这些创新技术在我们设计上的应用,应对不断增加的上市时间压力和更高的QoR要求。”

Design Compiler NXT中的最新的优化技术包括功耗驱动的映射和结构化技术,时钟与数据同步优化技术(CCD),以及不会牺牲QoR的全新分布式综合技术。为了实现在先进工艺节点下的紧密一致性和卓越的QoR,Design Compiler NXT与IC Compiler II共享通用库以及多种先进布局技术,并且采用了经校准的RC、绕线拓扑和布局密度建模。

新思科技芯片设计事业部工程高级副总裁Shankar Krishnamoorthy表示:“Design Compiler系列产品已经引领市场超过30年,为用户提供了诸如可测性设计、功耗、数据路径和物理综合等最好的综合创新技术。Design Compiler NXT集成了最新的综合创新技术,能够大幅度缩短运行时间、实现具有绝对优势的QoR,以及与IC Compiler II极为紧密的RC和时序的相关一致性。新思科技再一次完善、提升了尖端的RTL综合技术,为当今极度复杂的前沿设计提供了有力支持。”

  1. EETOP 官方微信

  2. 创芯大讲堂 在线教育

  3. 创芯老字号 半导体快讯

相关文章

全部评论

  • 最新资讯
  • 最热资讯
@2003-2024 EETOP
×