e_er的个人空间 https://blog.eetop.cn/42169 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

sva基础概念一

已有 2172 次阅读| 2014-3-31 21:31 |个人分类:验证

sva断言,就是systemverilog assertion。在设计中插入断言,当被验证的单元的属性不符合预期,则断言失败;如果被禁止的属性被触发,则断言失败。
断言在仿真器执行时会被不断监控,当监控断言失败就会打印错误信息。
断言分两种,一种是并发断言,一种是即时断言,前者基于时钟周期,边沿采样,与时序有关;后者模拟事件,类似组合电路。
断言模块中包含:1、建立属性property;2、序列表达式;3、布尔表达式;4、断言。属性中包含:变量定义,时钟制定,不执行属性检验的内容,该属性需要检验的内容。
例:
property a1;        //定义属性a1
logic var;             //局部 变量定义
@posedge clk  //并发时钟
disale iff (rst)     //不执行该属性检验的内容
($rose(a)|->b)   //该属性检验的内容
序列:有简单序列形式,边沿定义序列,逻辑关系序列,序列使用形参,时序关系序列。
SVA内定义的时钟,在序列,属性,断言中均可加入时钟,但只允许使用一个时钟。一般建议在属性中指定时钟。
蕴含:交叠蕴含与不交叠蕴含。前者表示在同一时钟周期,计算后续因子,后者表示在下一时钟周期计算后续因子。
时序窗口:可以控制在条件触发后几拍内判断表达式结果。








点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 1

    获赞
  • 0

    评论
  • 328

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 02:10 , Processed in 0.014721 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部