njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

FPGA输出时钟

已有 3896 次阅读| 2012-1-15 19:37 |个人分类:FPGA_Verilog

当需要FPGA输出时钟时,建议使用FPGA内部的DDR IO输出;例如需要使用FPGA输出一个125MHz的随路时钟,则在FPGA内部使用125MHz时钟驱动一个DDR IO模块,DDR模块的H和L分别接1和0即可。

点赞

发表评论 评论 (2 个评论)

回复 shiyinjita 2012-1-16 08:12
:victory:,这个我喜欢,谢谢了
回复 xinshou123 2012-1-23 01:02
多谢多谢,下次使用看看

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 16:49 , Processed in 0.014079 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部