智慧棒的个人空间 https://blog.eetop.cn/251364 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

关于和Quartus II8.1相配合使用的EDA工具

已有 2088 次阅读| 2009-3-14 12:35 |个人分类:Quartus II学习历程

1.关于和Quartus II8.1相配合使用的Modelsim SE版本

Make sure you have installed the following versions of the Quartus II software and the ModelSim software:

ModelSim

Altera

Mentor Graphics ModelSim PE or SE (non-OEM) version 6.3g

Quartus II
version 8.1

This version of the ModelSim software supports all Altera devices supported by the Quartus II software.

2.关于和Quartus II8.1相配合使用的Synplify Pro版本

Make sure you have installed the correct versions of the Quartus II software and the Synplify software:

Synopsys

Altera

Synplify or Synplify Pro
version 9.6.2

Quartus II
version 8.1

This version of the Synplify software supports all Altera devices supported by the Quartus II software.


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 8

    粉丝
  • 0

    好友
  • 0

    获赞
  • 6

    评论
  • 1559

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 08:32 , Processed in 0.024084 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部