imicman的个人空间 https://blog.eetop.cn/1518355 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

SVTB-TestBase

已有 340 次阅读| 2016-6-8 21:20 |个人分类:验证杂谈



TestBase.sv
==========================
`define svm_component_utils(T)  typedef svm_component_registery #(T,`"T`") this_type; 


class TestBase extends svm_component;
       Environment env;
       virtual router_io router;
       Packet pkt;
       int channel_num = 16;
       Driver_clk_pkt drv_ovd[ ];
 
       `svm_component_utils(TestBase)

       function new(string name);
          super.new(name);
         router = top.top_io;
          env = new(router,channel_num);
         drv_ovd = new[channel_num];
      endfucntion

    virtual task run_test();
         env.build();
         begin
                  foreach(env.drv[i]) begin
                     drv_ovd[i] = new($sformatf("drv_ovd[%0d],i), i, env.sem, env.gen[i].out_box[i],  env.scb.driver_mbox, env.router);
                     env.drv[i]  = drv_ovd[i];
                 end
         end
         env.reset();
          cfg_dut();
         begin
               for(i = 0; i<channel_num; i++)
                   for(j = 0; j< `PKT_NUM; j++)  begin
                        pkt = new;
                        svm_do();
                        pkt.sa = i;
                        env.gen[i].pkt2send.push_back(pkt);
                        pkt.display();
                   end
         end
         env.run();
         env.wrap_up();
    endtask
 
   virtual task svm_do();
            if(!pkt.randomize()) 
                  $finish();
    endtask

    virtual task cfg_dut();
          force top.dut.reg1 = 1;
           force top.dut.reg2 = 2;
           force top.dut.reg3 = 3;
   endtask



endclass

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 8

    获赞
  • 34

    评论
  • 访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 12:20 , Processed in 0.037287 second(s), 18 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部