pengyouxiaohui的个人空间 https://blog.eetop.cn/169546 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

qsys使用

已有 4068 次阅读| 2014-8-24 19:24 |个人分类:Altera

 

说起来,自己还是挺懒的,quartus还一直停留在7.0版本上,最近看到很多都在用qsys,想着不能太out,于是下了个13.0开始学习,以前用sopc感觉挺好的,真正用了qsys发现太多的不习惯。

第一次用qsys有点懵,以前sopc都是连好的,不需要自己担心太多,也没进行过深入研究,现在都需要自己连接,网上搜了些帖子,又看了几个例程,马马虎虎的知道哪些重要线该连接,

添加了ram后总出现错误

需要在nios cpu上下文章,core Nios II下拉,reset vectorexception vector都需要进行相应的设置,在这里我只是做个简单测试,所以都放在on-chip memory里了

出现如下错误

等所有器件添加完毕,通过system-assign base address消除

另外添加的IP如有conduit的即通过双击变成外部接口

所有IP添加完毕,点击generate生成系统,添加到顶层,进行下一步综合下载。

编译过程又遇到障碍

Error (12006): Node instance "inst" instantiates undefined entity "nios_0824"

qsys不像以前的sopc智能,不知道是我哪里设置不对,还是因为什么原因,需要手动添加生成的.qsys文件到当前工作目录,

等待编译的过程有点紧张,在最后EDA Netlist Writer的时候又卡住了,

Error (204012): Can't generate netlist output files because the file " F:/FPGA/altera/enet_test/db/ip/tse_test/submodules/altera_tse_crc32galois8.v " is an OpenCore Plus time-limited file

Error (204009): Can't generate netlist output files because the license for encrypted file "F:/FPGA/altera/enet_test/db/ip/tse_test/submodules/altera_tse_crc32galois8.v" is not available

官网上给出了解决方案

按照提示进行了相关设置,再进行编译,总算顺利了。


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 353

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 11:27 , Processed in 0.023937 second(s), 16 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部