lingyuntian的个人空间 https://blog.eetop.cn/691840 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

信号完整性系列之十八——带有预加重和均衡的高速信号测量

已有 7081 次阅读| 2011-4-11 15:26 |个人分类:高速信号设计

标题:信号完整性系列之十八——带有预加重和均衡的高速信号测量
2010-10-29 14:31:49

美国力科公司   胡为东

 

摘要:当今高速串行信号总线速率越来越高,如USB3.0(5Gbps)、PCIE GEN2(5Gbps)、SATA3(6Gbps)等等。更高的信号速率意味着更多的设计调试挑战。如工程师们经常发现在接收端测试此类高速信号眼图已经完全闭合,但是系统却仍旧工作良好,那么原因是什么呢,我们此时应该怎么去分析闭合的眼图呢?另外在高速信号测试时还需要消除夹具对测试的影响;需要事先预测某一信号通过某一尚未完成设计的传输线或者单板后的信号变化;仿真工程师也希望能方便的将示波器实测信号引入到高速仿真软件中进行仿真分析等等各种新的应用需求。本文简要介绍了高速信号调试面临的挑战、高速信号的调试步骤以及力科公司的新一代眼图医生II的主要功能和特点。

关键词: 眼图医生  仿真  力科 示波器  均衡  预加重

一、高速信号调试面临的挑战

         在速率达到Gbps高速设计中,最常见的问题通常会是眼图不好、抖动过大等等。如图1所示,接收端芯片管脚处眼图很差,抖动成分很复杂。对于这样的问题,如果我们使用力科示波器配有的独特的抖动分解功能对抖动进行分解分析可以清楚的看到主要的抖动来自于330khz频点和125MHZ的谐波(如250MHZ、560MHZ左右)的频点,根据这些频点,我们可以更快捷更容易的发现此系统的问题主要可能是因为电源部分和125MZH时钟电路设计得不够完善,这样我们就可以有针对性的去改善这些电路。

         当信号速率进一步提升后,仅改善设计电路可能并不能够完全改善信号眼图,此时发送端芯片一般会具备预加重调节功能,但是需要设计工程师去调节预加重为最优值以确保接收端信号眼图最优化。如图2所示,未加预加重/去加重时候,发送端眼图很好,但是接收端眼图很差;增加预加重后,接收端眼图得到有效的改善。由于芯片厂商一般会提供多种预加重的程度和幅度的调节,所以工程师们通常需要设法选择最优的,一般方法都是通过测试接收端的信号,每调节一次预加重,测试一次接收端信号眼图,需要经过很多次测试对比才能找到最优值,通常效率会比较低。

        当信号速率更高时,通常达到5Gbps以上时,仅靠调试电路、调节发送端芯片预加重都难以改善接收端信号的眼图,如图3所示,发送端确实已经增加了预加重,但是接收端眼图仍旧闭合了,对于闭合的眼图就无法对其进行分析,而奇怪的是即使眼图如此糟糕,但是系统却仍旧工作良好,那么这是为什么呢——因为芯片接收端采用了均衡技术,虽然在接收端管脚处测得的眼图已经趋于闭合,但是均衡后的眼图通常会得到很好的改善。如图3右侧下方均衡后的眼图已经很好了,但是从图中可看出均衡后的点是在芯片内部,示波器可能不能够直接测试到均衡后的信号,而我们真正需要分析的其实是均衡后的眼图。那么大家应该会问,这样的话示波器在接收端已经测不到均衡后的信号,那么示波器还有什么用呢,在接收端芯片管脚处测试分析信号还有意义吗?力科眼图医生EyedoctorII软件可以为您解决这些问题。

                                 图3 带有预加重和均衡的高速信号测试

 二、典型的高速信号设计的主要步骤

         由于当前工艺水平越来越高,信号速率高于5Gbps的信号标准已经越来越多,如PCIE2.0达到5Gbps,8Gbps的PCIE3.0标准也可能很快就会推出;USB3.0达到5Gbps,SATAIII达到6Gbps等等。信号速率的进一步提高对电路设计工程师也提出了更多严格的要求;

 

         一个典型的硬件系统设计流程大概包括五个步骤:即总体方案设计:主要完成系统的功能框图、原理图设计:主要完成系统内各功能模块的详细电气连接图、PCB设计:主要完成系统内各功能模块的电气连接图、加工PCB版图为尚无元器件的实际单板、最后焊接好元器件进行功能信号等的调试和测试,如图4所示。

 

        在过去低速系统中,通常只在硬件系统设计流程的最后一步进行测试验证来保证达到基本功能要求。而对于高速系统来说,要求会大大不同,第一个不同是需要在设计的更早阶段就要进行信号质量的分析,通常在硬件系统设计流程的第三步即已经完成PCB版图设计但尚未投板之前就进行严格的信号完整性分析;第二个不同是不仅仅是需要满足功能测试的要求,而且是每个高速信号在满足要求之外还需要较大的余量,以确保高速信号乃至整个系统的高可靠性。对于5Gbps以上的信号,还有个不同是有些标准中已经明确提出需要对接收端的进行容限测试,如USB3.0,而以往接收端测试只是选项测试。

 三、典型的高速背板系统及其主要设计调试流程

        下面我们以一个高速背板系统为例来说明下高速系统的设计流程。如图5所示为一个典型的由两个线卡加上一块高速背板构成的高速信号传输系统。我们看到,图最下方的为带有高速接插件的背板,通过接插件在左右各有两个线卡(line card)插在背板上,左边的线卡上有高速信号发送芯片,右边的线卡上有高速信号接收芯片,如图中红色线所示,高速信号从左边子卡上的发送端芯片发出,经过线卡上的传输线、接插件、背板上的走线、接插件、线卡上的传输线到达芯片接收端。子卡上的高速信号走线通常比较短,对信号的影响很小;背板上的走线都比较长,对信号影响最大,背板面积通常都比较大,生产成本也比较高,所以对于这样一个系统来说,背板设计的成败将至关重要;这样一个系统设计的主要挑战在于如何有效的解决背板传输线对信号质量的影响(如阻抗不连续带来的反射问题、走线过长带来的信号幅度过度衰减问题、高速信号的ISI问题、板间连接处的阻抗连续性问题)。当然选择好芯片、接插件、PCB板材等也是很重要的因素。此类问题都可以通过仿真方法与测试方法相结合来更好的解决。

        将上页的高速背板系统作一个等效的模型,如图6所示,由于线卡上走线较短,所以我们把发送端和接收端线卡简化,以发送端Transmitter和接收端Receiver表示,通常发送端带有预加重,接收端带有均衡;背板上的长传输线主要用于传输信号,通常称为信道,即传输信号的通道,在SI类的文献中也称为互连,可以用S参数模型来等效其信道的响应。S参数模型可以通过VNA测试或者电磁场、CAD等仿真软件仿真得到。

         对于当今的高速系统设计来说,需要在更多的设计环节进行信号质量控制,对于上述的典型高速背板系统来说,一般有如下三个环节:(1)子卡、背板的PCB版图已经完成(子卡指发送端子卡和接收端子卡);(2)子卡已经加工完成,背板的PCB版图已经完成但是尚未加工;(3)子卡和背板的PCB版图已经完成;

       对于第一个环节,即子卡、背板的PCB版图已经完成,则主要是通过软件仿真的方法。如使用HSPICE软件将发送端和接收端芯片的HSPICE模型和背板的S参数模型整合到一起进行通道仿真。此一环节进行分析的优点是目前只有电路设计图纸,还未做成实物,如果此阶段发现问题,则可以方便的修改调整设计,不会造成大量成本损失;而且必将大大缩短产品研发周期,节省时间;缺点是需要芯片厂家提供精确的HSPICE模型(有时候得到这样的精确模型比较困难);仿真软件所使用的信号源为理想信号源,未考虑子卡上的实际情况如串扰、反射等等,而且目前的高速仿真软件仿真速度比较慢,会大大影响调试效率。

 

        第二个环节即子卡已经加工完成,背板的PCB版图已经完成但是尚未加工,此时需要通过仿真加测试的方法来分析。分析方法是是首先使用示波器分析子卡输出的信号质量,此时通常需要设计一个简易的夹具以方便将高速信号从子卡上引到示波器上;然后用相关的电磁场或者CAD软件提取背板的传输线的S参数模型;再设法将子卡输出的信号编成HSPICE可识别的源码格式,带入到HSPICE中进行仿真,从而得到经过背板以后的信号质量,从而评估背板的设计是否有问题,此过程也叫做“通道仿真(channel emulation)”。此环节分析的优点是可以将子卡输出的真实信号带入到仿真中,更接近实际情况;在背板生产之前进行评估,也同样会节省成本,而且背板加工通常也会更加昂贵。缺点有如:子卡夹具会给信号本身带来额外的影响,使用仿真软件不易消除夹具的影响;需要将测量到的信号转换成仿真软件能够识别的格式,会比较麻烦,如果示波器能够将测试到的信号直接在示波器中进行通道仿真,也就是说示波器具备类似仿真软件的功能,则会非常方便;而且目前的高速仿真软件仿真速度比较慢,会大大影响调试效率。

 

        第三个环节是所有单板均已经加工完成;此环节的主要分析方法为直接测试,即使用示波器测试发送端、接收端各点的信号眼图。通过调节芯片发送端预加重、接收端均衡等来调节信号眼图质量。这一环节的优点是完全是在实际情况下分析信号质量,考虑了所有的实际因素;缺点是如果芯片具有预加重和均衡功能,则每调节一次预加重和均衡,就需要测试一次,测试效率会降低很多;而且测试不到均衡后的信号;

 

综上所述,高速信号测试中可能遇到的主要问题有:

(1)当必须使用夹具时,如何消除夹具的影响,即夹具反嵌

(2)当可以测试到发送端的信号时,如何仿真预测经过某一段传输线或者系统后(如高速背板)的信号质量即道仿真

(3)如果接收端芯片带有均衡功能,怎样才能观察到均衡后的波形

(4)如果接收端芯片关键处眼图已经闭合,如何对眼图和抖动进行分析

(5)在测试过程中有没有更高效的办法可以更快的调节预加重和均衡至最优值

 

四、力科最新第二代眼图医生软件(EyeDoctorII)提供了全面的高速信号测试解决方案

       力科早在2006年就率先推出了独特的专用信号完整性分析软件眼图医生(Eyedoctor)软件;2009年又推出更加方便、更加强大、速度更快的最新一代专用信号完整性分析工具软件即第二代眼图医生EyedoctorII,如图7所示。

 ● Eye Doctor II 是安装在力科示波器上的信号完整性分析软件包,主要可以满足如下应用

精确补偿测试中夹具的效应
对串行数据链路通道响应的仿真
对TX与RX进行预加重和均衡调节
● 主要分析能力有

夹具/电缆/信道的去嵌(De-Embedding)
发送端预加重仿真(Transmitter Emphasis Emulation)
通道响应仿真(Channel Response Emulation)
接收端均衡仿真(Receiver Equalizer Emulation)
● 具有流程图式的主用户分析界面

 ● 支持添加预加重或者去加重,消除预加重或者去加重

 ● 可进行CTLE、FFE、DFE等均衡技术优化和分析

 ● 三种通道仿真、夹具去嵌类型

 ● 力科EYEDOCTOR II软件主要优点

信道仿真和均衡器仿真速度非常快,在几秒内就可以计算出几百微妙长的波形,几乎可以做到实时测量,实时计算出结果
信道仿真的输入波形是基于实测,考虑到了电路板上很多实际的随机因素
支持更多的均衡器种类-CTLE/FFE/DFE
最多可支持8通道16个端口的信道模型,可对多条链路之间的串扰进行分析
支持高达12Gbps的高速信号分析,能用于电信领域里面非常流行的10Gbps的背板预研、均衡仿真
可以对最多512Mpts的大数据量运算
支持非理想终端匹配时的高速串行信号分析
分析结果精确度和准确度足够高
 

五、结语

    力科第二代眼图医生II软件提供了高速串行链路的发送端、信道、接收端的全方位的仿真与分析能力,改变了传统的高速串行设计的研发与调试方式,增强了高速信号的调试分析的效率,使用起来更加方便。配合力科最新Zi系列的示波器(模拟带宽高达30GHz),可以实现当前流行的10G信号的信道仿真、均衡仿真、以及全面的测量与分析。

 

参考文献

1.  高速串行设计的强大工具-Eye Doctor II,张昌骏,LeCroy Corporation


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 7

    粉丝
  • 0

    好友
  • 5

    获赞
  • 3

    评论
  • 访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 14:06 , Processed in 0.017350 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部