zhouzhiping849的个人空间 https://blog.eetop.cn/164433 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

modelsim( or questasim) 使用命令

已有 5092 次阅读| 2010-8-12 10:50 |个人分类:工具使用

1. 常用仿真命令

vlib work    // 建立work仿真库

vmap work wrok   // 映射库

vlog   -cover  bcest  *.v    // 加覆盖率分析的编译

vsim  -coverage  -voptargs="+acc"  -t  ns  test   // 仿真文件为test.v

add wave  *     // 将所有模块waveform. dump出来

add wave sim:/test/t/M2/Reg_out        // 将模块Reg_out中的waveform. dump出来

delete  wave  /test/i

2. SVA 断言仿真命令

vlog  -sv  a.v

vsim  -assertdebug  test

view  assertions

vsim  -assertdebug  ScaleBlock_tf  -L  xilinxcorelib_ver  -L  unisims_ver   // 加载xilinxlib库

3. verror  3601   // 查错

4. 给仿真工具加载xilinx 库命令

(1)加载之前将modelsim.ini改为非“只读”

(2)“运行” cmd,到xilinx目录下

(3) C:\Xilinx >  compxlib  -s  mti_se  -p  c:\Modeltech_6.0\win32  -f  all  -l  verilog  -o  C:\ Modeltech_6.0\Xilinx_lbis

或者  Xilinx目录下.\bin\nt\下有compxlib.exe

 


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 11

    获赞
  • 19

    评论
  • 779

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 01:16 , Processed in 0.028797 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部