lixuedong8000的个人空间 https://blog.eetop.cn/152131 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 1559 人来访过

  • 积分: 482
  • 资产: 482
  • 发贴收入: 1180
  • 推广收入: 10
  • 附件收入: 996
  • 下载支出: 1783
  • 好友: --
  • 主题: 16
  • 日志: 5
  • 相册: --
  • 分享: --

    现在还没有相册

    现在还没有记录

  • 自我介绍一个酷爱技术的人

查看全部个人资料

    现在还没有动态

systemverilog相等操作符 2012-07-10
    A    B A==B A===B A==?B A ...
(3853)次阅读|(0)个评论
求知若渴 虚怀若愚 2012-06-08
 转载..........   提起生命,乔布斯曾在美国斯坦福大学毕业典礼上发表过演讲,讲述了他生命中的三个故事。   &nb ...
(1158)次阅读|(0)个评论
ECC 2012-06-07
天气 : 阴雨 心情 : 平静 § ECC? § Error Correction Code § Add redundant bits to detect and correct error § Encoding a ...
(1125)次阅读|(0)个评论
parameter localparam 2012-06-07
parameter: 本module内有效的定义,可用于参数传递; localparam: 关于localparam,这个关键字书上很少会讲到。但是大公司的代码里经常会看到 ...
(1109)次阅读|(0)个评论
verilog2001中generate的精妙用法 2012-05-29
转载 http://www.cnblogs.com/adamite/archive/2008/12/24/1361878.html 也是刚刚用到此语法 verilog2001中有generate这个语法,近日有用到,简单归纳如 ...
(15304)次阅读|(0)个评论

查看更多

你需要登录后才可以留言 登录 | 注册


现在还没有留言

现在还没有好友

最近访客

现在还没有访客

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 19:34 , Processed in 0.018637 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部