路科验证的个人空间 https://blog.eetop.cn/1561828 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

天哪!竟然还有UVM寄存器模型的隐藏剧情(续)

已有 1379 次阅读| 2018-12-1 20:36 |个人分类:验证前沿资讯|系统分类:芯片设计

因此,在顶层env中在对寄存器模型完成了build以后,我们还可以创建my_vreg_frontdoor_sequence,并且通过指定regmodel.bus.reg0.set_frontdoor(frontdoor)来指定在访问该sequence时,需采取专用的frontdoor sequence完成寄存器访问。

这种用户自定义的前门访问方式,作为传统的register model + adapter + bus sequencer方式的补充,使得面对更为复杂的寄存器访问时,我们依然可以灵活应对。


自定义后门访问序列

对于常用的后门访问,套路也已经为路粉们熟知了吧(不懂就去看红宝书喽),不过资深一点的verifier都知道,这种方法也主要是应对访问寄存器的,因为寄存器往往由reg类型(Verilog)来实现,容易做到HDL路径的映射。然而对于存储可不太一样,这是由于我们无法针对存储中的每一个地址都做到映射(会累死的吧)。不过考虑到大部分的存储模型都是一些reg数组,我们只需要做一些从访问地址到DUT存储模型数组索引的映射,再配合自定义后门访问序列就可以解决这个头疼的问题。


从下面的代码可以看到,通过自定义后门访问序列,结合UVM DPI函数uvm_hdl_deposit/uvm_hdl_read,实现了内存模型的批量读写(for loop提供)。

除了上面路桑谈到的这两个与大家接下来可能相关的寄存器模型使用方法以外,该论文还有一些其它的有趣应用,例如uvm_reg_callback用来对一些特殊的寄存器访问做读写前后的处理。如果这篇论文正说中了你现在面临的问题,你可以到路科验证的官网资源页中去下载,或者点击左下角阅读原文。

http://rockeric.com/resource/paper/



点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 253

    粉丝
  • 25

    好友
  • 33

    获赞
  • 45

    评论
  • 访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 00:08 , Processed in 0.015405 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部