sdlyyuxi的个人空间 https://blog.eetop.cn/907322 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

异步复位,同步释放

已有 1433 次阅读| 2017-7-11 09:40 |系统分类:硬件设计

   always @(posedge clk or negedge rst_n)      begin        if (~rst_n)          data <= 3'b0;        else         *

   异步复位,同步释放

     从RTL看,复位是rst_n下降沿触发,是异步的,无需timing check

     而,对于释放而言,rst_n拉高,即 ~rst_n != 1, 这个时候要走else分支;拉高这个动作在敏感信号列表中的上升沿时钟信号采样!

    那么,对于释放的采样,就需要进行timing check, 对应的就是recovery/removal check




点赞

发表评论 评论 (2 个评论)

回复 kuaijin_09 2017-7-21 15:42
高手,

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 4

    粉丝
  • 0

    好友
  • 0

    获赞
  • 3

    评论
  • 538

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 23:15 , Processed in 0.024068 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部