blue1025的个人空间 https://blog.eetop.cn/mj8051 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Debussy 和modelsim交互仿真设置

已有 2890 次阅读| 2008-7-12 17:42 |个人分类:tools

1.Set the system shared library search path to include the directory of the Novas object file for FSDB dumping (5.4 is used as an example).
Solaris/Linux Platforms
> setenv LD_LIBRARY_PATH \
${NOVAS_HOME}/share/PLI/modelsim_pli54/${PLATFORM}:\
$LD_LIBRARY_PATH

2.(Optional) If you want to invoke FSDB commands at the vsim prompt, or run the ModelSim simulation using interactive mode in Debussy/Verdi, you must compile the novas_vlog.v file into the design library. You can find this
Linking Novas Files with Simulators to Enable FSDB Waveform. Dumping
82
Verilog file in the ${NOVAS_HOME}/share/PLI/modelsim_pli??/${PLATFORM} directory.
> vlog -work your_working_lib \
${NOVAS_HOME}/share/PLI/modelsim_pli54/${PLATFORM}/novas_vlog.v

3.to load the FSDB dumper libpli.so (or libpli.sl) into vsim during simulation.
Solaris/Linux Platforms
[vsim]
...
veriuser=libpli.so

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 13

    粉丝
  • 14

    好友
  • 15

    获赞
  • 23

    评论
  • 2819

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 09:10 , Processed in 0.018707 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部