blue1025的个人空间 https://blog.eetop.cn/mj8051 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

DC概论七之gated clock(2)

已有 3471 次阅读| 2008-7-30 15:46 |个人分类:synthesis

转载http://bb2hh.blogbus.com/logs/22321092.html

看一例:

module gate(clk, gate, din, qout);

 input clk,gate;

 input  [7:0] din;

 

 output [7:0] qout;

 reg    [7:0] qout;

 

 wire gclk;

 

 

//assign gclk = clk & gate;

 

 always@(posedge gclk)

   qout <= din;

 

 reg gate_out;

 always@(clk)

  if(!clk)

    gate_out <= gate;

 

 assign gclk = clk & gate_out;

 

endmodule

 

DC综合脚本:

set lib $env(DC_LIB)

set target_library "slow.db"

set link_library "* $target_library"

set search_path ". ../src ../scripts $lib"

analyze -format verilog gate.v

elaborate gate

uniquify

link

check_design

create_clock -period 100 [get_ports clk]

set input_exp_clk [remove_from_collection [all_inputs] [get_ports clk]]

set_input_delay 60 -clock [get_clocks clk] $input_exp_clk

set_output_delay 30 -clock [get_clocks clk] [all_outputs ]

 

set_clock_gating_check -setup 3 -hold 0

 

compile
综合结束后,setup时序分析:

1.       门控逻辑AND2setup分析:

report_timing -delay max -nets -from  gate_out



上图中可以看到到达时间是从clk的下降沿计算的,

以及要求时间是从上升沿开始,同事考虑到clock_gating_check中的setup设置

1.       setupborrow time 分析

report_timing -delay max -nets -to gate_out_reg/D

dc综合脚本的设置,以及上图report

到达时间是60,由于clk脉冲宽度是50,为了保证输入端建立时间满足,需要向ednpoint borrow  time,上图中可以看出,time borrowed from endpoint10,使要求时间变成60,从而slack0,满足时序要求。

 

现在综合脚本中加入

set_max_time_borrow  7 [get_clock clk]

从新查看setup borrow time分析:

report_timing -delay max -nets -to gate_out_reg/D



点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 13

    粉丝
  • 16

    好友
  • 15

    获赞
  • 23

    评论
  • 2824

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 09:38 , Processed in 0.014971 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部