blue1025的个人空间 https://blog.eetop.cn/mj8051 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

DC概论四之setup time 与 hold time 之三

已有 4063 次阅读| 2008-7-30 15:16 |个人分类:synthesis

转载http://bb2hh.blogbus.com/logs/20757249.html

下面开始正式分析时间余量slack。其实有了上面的知识,只要稍微说明下大家都会很明白。

在介绍slack之前,我们要先了解一下要求时间(required time)和达到时间(arrive time)的概念以及计算方法。

 

 

如果没有特殊说明,黑色clock代表没有影响因素的理想时钟,红色(粉色)clock代表收到latency影响的时钟。蓝色clock代表同时受到latencyskewuncertainty)影响的时钟。

 

对于建立时间(setup time)的到达时间和要求时间。

 

在分析前,记住,建立时间是分析路径中的考虑到各种最不利因素的最大延迟,打个比方:

前面一级用尽最大程度向后推(最大的延迟),本级就近打力气向前顶(最大不确定因素)。

然后看中间有没有漏气(slack为负,时序违规)。

<!--[if !supportLists]-->1,  <!--[endif]-->输入端口到时序器件的数据端口。

上图中

要求时间=T2+Tlatency-Tuncertainty_setup-Tsetup

到达时间=T1+Tlantency+Tinput_delay+Tlogic2

<!--[if !supportLists]-->2,  <!--[endif]-->时序器件的输出管脚到输出端口

上图中:

要求时间=T2+Tlatency-Toutput_delay-Tuncertainty_setup

到达时间=T1+Tlatency+Tcell+Tlogic5

3.时序器件到时序器件

上图:

要求时间=T2+Tlatency-Tuncertainty_setup-Tsetup

到达时间=T1+Tlatency+Tcell+Tlogic

4,输入端口到输出端口

如上图:

要求时间=T2+Tlatency-Tuncertainty_setup-Toutput_delay

到达时间=T1+Tlatency+Tinput_delay+Tlogic

 

我们再来看下保持时间,保持时间的到达时间和建立时间的到达时间是一样的。只是保持时间的要求时间不一样而已.

保持时间类似于龟兔赛跑:

系统要求时间就是尽可能的向后,而线上信号就是追那个要确定的时间,追上了,就没问题,追不上问题就有了。

说明:红色和粉色表示受latency影响,蓝色表示受uncertainty影响。

1. 输入端口到时序器件的数据端口。

到达时间:Tarrive=T1+Tlatency+Tinput_delay+Tlogic

要求时间:Trequire=T2+Tlatency+Tuncertainty_hold+Thold

2. 时序器件的输出管脚到输出端口

到达时间:Ta=T1+Tlatency+Tcell+Tlogic

要求时间:Tr=T2+Tlatency+Tuncertainty_hold+Thold-Toutput_delay

3时序器件到时序器件

到达时间:Ta=T1+Tlatency+Tcell+Tlogic

要求时间:Tr=T2+Tlatency+Tuncertainty_hold+Thold

4,输入端口到输出端口

到达时间:Ta=T1+Tlatency+Tinput_delay+Tlogic

要求时间:Tr=T2+Tlatency+Tuncertainty_hold+Thold-Toutput_delay

 

Slack计算:

对于建立时间:

Slack=要求时间-到达时间

对于保持时间

Slack=到达时间-要求时间



点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 13

    粉丝
  • 16

    好友
  • 15

    获赞
  • 23

    评论
  • 2824

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 02:02 , Processed in 0.014793 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部