ee_king的个人空间 https://blog.eetop.cn/1494617 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Testbench_UVM Testbench Hierarchy(The Agent继续)

已有 776 次阅读| 2018-9-4 13:38 |系统分类:芯片设计

       每个agent都应该有一个配置对象,这将包含对虚拟接口的引用,driver和monitor用此虚拟接口来访问pin级信号。配置对象还将包含其他数据成员,这些数据成员控制哪个agent子组件被构建,这个配置对象还可能包含影响agent组件行为的信息(例如错误注入或对协议变体的支持)。
       agent配置对象包含一个有效位,可用于选择agent是否是passive - 即driver和monitor不是必需的,或者说不是active。agent配置对象还可能包含其他控制位域,用于控制是否构建其他子组件类(如功能覆盖monitor或记分板)。

        可能包含在agent包中的其他类:
        Functional coverage monitor - 收集协议特定的功能覆盖信息
        Scoreboard - 通常用途有限
        A responder- 响应总线事件而不是创建它们的 driver(即 driver的slave版本而不是master版本)。
        (API) Sequences - 实用sequences可能用于一般用途,通常为driver实现API层。

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 6

    评论
  • 访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 22:19 , Processed in 0.029075 second(s), 18 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部