yuedx的个人空间 https://blog.eetop.cn/317611 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Signaltap II的使用介绍

已有 3640 次阅读| 2009-2-27 21:12

SignalTap II 逻辑分析器是Quartus II 软件中集成的一个内部逻辑分析软件(相当于一个内置示波器),使用它可以观察设计的内部信号变化,为我们FPGA设计的调试、查错带来极大的方便,实用性极高!!

    下面以Hello_Led工程为例,具体介绍SignalTap II的使用:

1、打开工程
quartus打开Hello_Led工程(双击hello_led.qpf),

2、新建.stp文件(或打开已有的.stp文件)
点【File】→【New】,或工具栏上的快捷键 image002.jpg
弹出新建类型对话框,

image004.jpg

选择“SingalTap Logic Analyzer File”,点【OK】,

3、设置采样时钟

点击Clock处的浏览按钮,添加采样时钟,
(所有的信号都是通过这个时钟采样得来,所以这个作为采样时钟的信号频率要比待测信号频率高才行,不然采不到或失真!)

image006.jpg


4、设置采样深度
在“Sample depth”处选择采样深度,即信号能看多长,该值受FPGA芯片内置存储器大小的限制,设的过长,编译会通不过。

image008.jpg

5、设置触发条件
触发条件即只有当触发信号(source处选择的信号)发生变化时(pattern处选择的变化:高电平、低电平、上升沿、下降沿、上/下变化沿),singaltap会捕获/暂停一次,
在“Trigger in”前打上勾,点击浏览按钮,

image010.jpg

在节点选择对话框中选择合适的信号作为触发信号,
image012.jpg

选择触发条件,即触发信号发生何种变化时,待测信号数据会更新一次,
image014.jpg
上图表示有沿产生就捕获一次数据,


6、选择待测信号

image016.jpg

在图中空白处双击,弹出节点选择窗口,我们来选择待测信号,

image018.jpg

如果要添加中间信号,在“filter”处需选择“Design Entry(all names)”,
按住ctrl可选多个信号,



7、保存.stp文件

image020.jpg

保存后,会弹出对话框,询问是否激活.stp文件,

image022.jpg

选择是


8、重新编译工程

新建一个.stp文件或在.stp增删待测信号,需要重新编译工程


9、加载程序
点击“Hardware”处的【setup】,

image024.jpg
选择“USB-Blaster”,双击选中即可

image026.jpg

点击sof浏览按钮,选择“hello_led.sof

image028.jpg

如果加载按钮呈灰化,点下【scan chain】刷新一下应该就好了
点击加载按钮 image030.jpg ,
加载成功后,led灯会闪烁。

点击采样按钮, image032.jpg

第一个是单次采样,一旦满足触发条件,就显示当时的数据,并停止采样;
第二个是连续采样,只要满足触发条件,数据就会不断刷新。

image034.jpg
这是采样后的实际信号波形,我们可以观察分析,看看是否与预想一致,
鼠标移至该区域,会自动切换为放大/缩小模式,左键放大、右键缩小



该示例工程见附件:


hello_led.rar (1.86 MB)


注意,程序里我们对开发板做了管脚分配,


image036.jpg

用户需要根据开发板的型号进行选择

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 13

    粉丝
  • 1

    好友
  • 34

    获赞
  • 156

    评论
  • 4697

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 23:24 , Processed in 0.015002 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部