SYoong的个人空间 https://blog.eetop.cn/1584010 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

甲乙类功率放大电路介绍及特点

热度 1已有 2582 次阅读| 2016-9-24 19:53 |个人分类:Verilog基础|系统分类:芯片设计

在放大电路中,当输入信号为正弦波时:

如果晶体管在信号的整个周期内均导通(导通角为360°),称之为甲类状态(A类)

如果晶体管仅在信号的正半周或负半周导通(导通角为180°),称之为工作在乙类状态(B类);

如果晶体管的到同事间大于半个周期而且小于整个周期(导通角在180-360°之间),称之工作在甲乙类状态(AB类)

如果晶体管的导通时间小于半个周期(导通角小于180°),称之工作在丙类状态(C类)

如果晶体管工作在开关状态,此时管子仅在饱和导通时消耗功率,称之工作在丁类状态(D类)

功放种类

效率高低失真情况音质好坏工作状态备注

甲类

功率、效率低无交越、开关失真音质好饱和区散热差、成本高、寿命短

乙类

效率高(75%)失真情况严重声音粗糙放大区产生热量小

甲乙类

效率居中失真比乙类好,比甲类差音质效果一般微导通应用最广泛,存在开关失真

丙类

效率特高失真很大音质极差 通信用途,不适合HI_FI

丁类

效率最高失真小音质效果一般 只在工作时导通,优秀产品少
1

点赞

刚表态过的朋友 (1 人)

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 3

    粉丝
  • 0

    好友
  • 3

    获赞
  • 1

    评论
  • 访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 07:21 , Processed in 0.032008 second(s), 19 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部