zyyzyy的个人空间 https://blog.eetop.cn/466104 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

如何在modelsim中添加altera的仿真库

已有 16690 次阅读| 2009-7-31 14:36

呵呵,这个问题纠结了我两天了,刚才又试了一下就通过了,其实网上的资料说的很清楚的,但是操作起来还真的容易失误,所以说不会的时候觉得怎么这么难搞,会了之后、发现怎么这么简单!

首先为什么要在modelsim中加仿真库呢?我的理解是这样的,modelsim仿真功能强大,但是没有跟具体的器件相结合所以不能够进行时序仿真和后仿真。那么通过添加相应器件的仿真库就可以做到这些了。关于器件,可以是lattice的,也可以是altera的,添加的方法其实都是一样的。我这里使用的是altera的仿真库。quartus软件中自带有altera的仿真库,我们只要把它拿到modelsim中去编译一下就可以啦:)

具体步骤如下:

1.去除modelsim安装目录下modelsim.ini的只读属性(使得这个.ini的配置文件可以被修改)
2.打开modelsim,更改目录File->Change directory到根目录下(注意这里不需要自己新建文件夹的,后面建了新的库会自动有一个新的文件夹把库中文件放进去的,不要多事)
3.新建一个库取名为altera。我使用的是modelsim的5.5版本,步骤是这样的:design->create a new library,打开之后在Library Name中写上altera,点击ok就可以了,其他不用动。
4,在modelsim的环境下对altera库文件进行编译,步骤是design-->compile,打开对话框,library中选择你刚才建的库名altera,在查找范围内选择altera库文件的所在地,我这里是C:\altera\quartus60\eda\sim_lib,如果你是用vhdl编写代码的则选择\vhdl,verilog编写代码的话就选\verilog(我选择的是\verilog)
5,首先把目录下的单独的v文件全部编译(具体是些什么模块库我没有仔细看,估计是一些通用的库),然后选择你想要编译的器件库(我只用到cyclone系列的芯片)全选后编译即可.编译完你所想要编译的库文件后按done结束编译并退出modelsim。
6.打开modelsim.ini文件,在[Library]下可以看到altera=altera这一句,,那就修改下路径就好,
把这一句改为altera = $MODEL_TECH/../altera,就ok了
7.再把modelsim.ini的只读属性选上就可以开工了
此时你再打开modelsim就能在Library栏看到你添加的库啦

点赞

发表评论 评论 (1 个评论)

回复 zyyzyy 2009-8-4 11:44
注意第五步: 对altera的库进行编译时,首先编译三个通用文件:220model.v,altera_mf.v,altera_primitives.v,编译通过之后,继续编译我们所需要的器件文件,我这里使用的是cycloneii,所以编译cycloneii_atoms.v,编译之后就可以推出modelsim了。 注意第六步:一定要把altera=altera这一句自动生成的语句修改下路径,不然modelsim还是找不到的。

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 15

    评论
  • 1049

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 13:07 , Processed in 0.025061 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部