wjw576657631的个人空间 https://blog.eetop.cn/743817 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 1523 人来访过

  • 积分: 529
  • 资产: 529
  • 发贴收入: 100
  • 推广收入: --
  • 附件收入: --
  • 下载支出: 101
  • 好友: --
  • 主题: 4
  • 日志: 30
  • 相册: --
  • 分享: --

    现在还没有相册

    现在还没有记录

  • 自我介绍我要学好FPGA

查看全部个人资料

    现在还没有动态

公司工作几天遇到得问题 2012-07-24
从上周到公司实习的第一天就开始写一个数据压缩的算法,在 XILINX 的 FPGA 上实现。具体是将 24 位数据压缩为 16 位的数据,中间用到 log2 ...
(2351)次阅读|(2)个评论
(转)数字电路中建立时间与保持时间基础 2012-05-14
         时钟 是整个 电路 最重要、最特殊的信 号, 系统 内大部分器件的动作都是在时钟的跳变沿上进行, 这就要 ...
(3921)次阅读|(0)个评论
(转)Quartus II工程文件的后缀含义 2012-02-20
File Type Extension AHDL Include File .inc ATOM Netlist File .atm ...
(4563)次阅读|(0)个评论
matlab仿真中常用到的一些命令 2012-01-03
一  将图片转化为txt文本文件 a=imread('picture.bmp');   //读取picture.bmp图片 b=rgb2gray(a);      & ...
(1786)次阅读|(0)个评论
(转)FPGA内部时序问题的理解 2011-12-07
时许,还是时许约束,最近用到比较多,整理下概念问题 一 先说FPGA内部: 如图     先简单说明几种时间 TAB :时钟脚 ...
(5186)次阅读|(6)个评论
(转)EDACN 论坛Xilinx 版帖子汇总 2011-12-02
1、问:xilinx 下载错误,请高手指点,谢谢 ERROR:iMPACT:583 - '1': The idcode read from the device does not match the idcode in the bsdl File. INFO:iMPA ...
(11403)次阅读|(1)个评论

查看更多

你需要登录后才可以留言 登录 | 注册


蓝冰01 2013-10-24 10:45
您好,我是猎头lesley,目前在帮国内知名无人机生产商寻找FPGA工程师的职位想跟您介绍下,希望能跟您取得联系,您可以加我QQ903242750,或者私信您的联系方式给我,期待您的联系
lining7935504 2013-7-3 15:40
你好! 请问你的邮箱是什么?可以联系下你么?
lining7935504 2013-7-3 15:39
xmouse
glace12123 2013-4-24 20:38
您好! 看了您的关于sp6的DDR2综合问题的博客,感觉您在DDR2控制方面应该很有经验,小弟也正学做DDR2的控制,用的也是sp6的FPGA,毕业设计就是这个,时间很紧,可惜经验不足,又没有开发板,现在只是想弄出仿真,中英文资料也看了好多好多了,还是不会正确的MCB操作时序,每次仿真都不正确,很郁闷啊,不知道您有没有时间,给我指点一下,能教会我基本读写就行了,平时绝不打扰您的工作和其它时间,我QQ649138929,方便的话加一下,谢谢 ... ...
denhuai 2012-9-11 18:28
你好,我也是做FPGA的。 我的QQ:302987288 有时间切磋切磋
birdf 2011-12-3 20:04
您好,请教一个菜鸟级的问题,是关于ram仿真的。我用modelsim SE 10.0c仿真双端口ram的读写,发现ram出来的数据q始终是高祖太。但是如果从quartus 11.1里面调用modelsim进行仿真则没问题。注:我觉得应该不是缺少库的问题,因为直接用modelsim仿真时我将quartus simlib里所有的.v文件全都添加进去了。
查看全部

现在还没有好友

最近访客

现在还没有访客

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 02:14 , Processed in 0.038476 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部