yiffer的个人空间 https://blog.eetop.cn/edesign [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 3705 人来访过

    现在还没有记录

  • 暂无资料项或无权查看

查看全部个人资料

    现在还没有动态

C语言 获取指定目录下的文件列表 2017-03-05
//获取指定目录下的所有文件列表 char ** getFileNameArray( const   char  *path,  int * fileCount)   ...
(2081)次阅读|(0)个评论
VLAN原理详解 2015-10-13
VLAN原理详解 目录 -------------------------------------------------------------------------------- 1.为什么需要VLAN 2.实现VLAN的机制 ...
(1563)次阅读|(0)个评论
输变电线路弧垂角度实时监测系统 2015-08-25
能够实现对输变电线路弧垂角度进行实时测量监控。 该项目硬件平台采用 ARM9 作为嵌入式硬件控制单板的主控制器, UXM-30LX-EW 激光扫描测距仪完成 ...
(1161)次阅读|(0)个评论
线路避雷器在线监测设备 2015-08-20
设备通过避雷器泄露电流对设备进行充电,设备中 MCU 对避雷器泄漏电流定时采样,由 FFT 算法得出避雷器泄漏电流基波及主要奇次谐波的有效值和相位值,同时MC ...
(1366)次阅读|(0)个评论
开关柜避雷器在线监测及带电指示装置 2015-08-19
开关柜避雷器在线监测设备是为电力变电站室内高压开关机柜避雷器设备工作状态及健康状态的监测、避雷器动作次数统计、以及线路带电指示功能而设计。 ...
(1755)次阅读|(0)个评论
水源远程监控系统 2015-08-18
一、系统概述                     水源井远程遥控系统是 ...
(1503)次阅读|(0)个评论

查看更多

你需要登录后才可以留言 登录 | 注册


bright1224 2015-10-17 17:48
你好,如何把“我的栏目”添加到博客左侧?
因为陌生 2013-8-11 16:34
你好,我的低通滤波器的输出存在一个直流信号,如何滤除它同时又不让我原来的信号衰减? 输入信号为3V,频率是2~3khz与2khz的差频(模拟乘法器),低通滤去2khz,得到1~1khz的频率,如何滤去输出的直流
atomdust 2013-2-22 17:43
请问你们有做过PCIE+DDR3的高速采集卡吗?我的qq:5310027
davidli2012 2012-12-9 21:05
提供高速PCB设计(仿真分析和问题定位)方面的培训,有需要可以联系我。可以帮助解决高速信号方面的问题,有需要可以找我:523692595
15813813715 2012-6-12 17:24
您好,我是新成员,很高兴认识您!我看了您的基本信息,我司是做IC集成电路芯片的,如果您有需要,希望我们能有合作的机会。在此祝您工作顺心!
shitongkeji 2012-5-23 16:33
你好 我司专业销售英飞凌 仙童 美格娜 IR ST TI ON NXP IXYS VISHAY TOS FUS 等进口原器件,所有物料均保证原装正品,(鄙视假货),支持大小批量和打样,有参考需求请联系深圳世通电子科技郭世达先生。13751025768 0755-82535100 QQ371276312
ybq2008 2010-11-30 20:46
你好 本人希望以兼职的方式加入贵团队,本科,5年高速电路PCBLAYOUT经验,主要在光通信领域,有10G板设计经验。有兴趣可详谈:QQ1056695562
caqli10 2010-11-1 15:50
请问你们还招人吗?
lyf821114 2010-6-11 22:31
大哥,需要模拟版图兼职不,在成都从事版图4年,是成都某公司的版图骨干。 lyf11142003@tom.com
hustestzmj 2010-5-12 19:39
xiexie
cityuser 2010-2-16 17:16
HI,博主!你在成都么?我在通信领域,主要做硬件,有机会交流一下哈。QQ124639962
查看全部

现在还没有好友

最近访客
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 03:10 , Processed in 0.016298 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部