马金鑫的个人空间 https://blog.eetop.cn/1095590 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 1090 人来访过

    现在还没有相册

    现在还没有记录

  • 自我介绍感性做人,理性做事

查看全部个人资料

    现在还没有动态

关于cast向下兼容的问题 2017-07-24
$cast可以对不同的内建类型进行转换,用的更多的是不同层次之间类的转换。在这种父类于子类之间的转换里, 父类站的高,子类在底下,从父类向子类的转换,称 ...
(1029)次阅读|(0)个评论
verilog代码修养 2017-03-14
很多人觉得写verilog是一件很简单的事情,事实上也确实不难,语法上比C还少,就是always和assign倒来倒去,不是reg就是wire。如果对性能和面积要求不大的话,随 ...
(1751)次阅读|(3)个评论
nvverilog使用笔记 2017-03-10
ncverilog 是 shell 版的, nclaunch 是以图形界面为基础的,二者调用相同内核; ncverilog 的执行有三步模式和单步模式,在 nclaunch 中对应 multiple step ...
(1429)次阅读|(0)个评论
ncsim问题:总是报告:*Novas*WARNING:[NoReadAccess][FilterOut]Name: 2017-03-10
总是报告:*Novas*WARNING: Name:*** : 一开始使用irun,但是在仿真的时候,总是报上面的错误: 现象表现为:同一层次的文件,有些信号没有download,有些 ...
(5699)次阅读|(0)个评论

查看更多

你需要登录后才可以留言 登录 | 注册


现在还没有留言

现在还没有好友

最近访客
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 05:16 , Processed in 0.013395 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部