在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子

tag 标签: 资源

相关帖子

版块 作者 回复/查看 最后发表
分享Xilinx.ISE.Design.Suite.v12.3下载资源 EDA资源使用讨论 abrianliu 2010-12-16 5 6676 EMCCD 2015-3-19 16:29
design vision怎么查看一个verilog综合电路所用的资源 数字IC设计讨论(IC前端|FPGA|ASIC) ch_5588 2011-5-28 2 2467 hq4008 2011-5-29 13:21
悬赏 帮忙分析一个verilog综合占用资源报告 - [!reward_solved!] 数字IC设计讨论(IC前端|FPGA|ASIC) ch_5588 2011-6-6 7 4235 ghost_ic 2011-8-1 22:06
收集的英语学习资源网站 专业英语学习 eastfang2003 2007-7-18 51 33754 nguyenphucvy 2016-2-18 16:34
求助:vhdl编写的串行除法器 电路设计论坛 Svermouth 2009-7-12 1 3195 Svermouth 2009-7-12 13:17
[求助]关于使用xilinx的ISE进行布线的问题 attachment 数字IC设计讨论(IC前端|FPGA|ASIC) dedrty 2004-12-17 11 5363 叮霖霖 2016-1-6 09:33
基于DSP+FPGA+USB2.0开发板GPS卫星导航定位系统的开发 DSP资料区 dsp_fpga_200809 2008-11-13 9 2667 supremacy53 2024-1-14 06:40
如何让Spectre加速(高手进) Analog/RF IC 设计讨论 flyingwolf 2008-12-3 12 7539 ice_icecream 2012-4-24 08:27
PCI9054中断的一个问题,谢谢啊... 交流讨论 mthappy 2008-6-4 6 4140 oklhc100 2009-1-3 00:51
[2010-11-18][有奖征集软件索引][最高奖200信元] EDA资源使用讨论 ukinfo 2010-11-14 62 27937 zzxin111 2014-12-9 15:54
GPS/卫星导航接收机开发平台 手持设备 aclzm001 2010-1-11 11 4866 bjsuperpower 2013-7-24 15:39
关于时钟提取 数字IC设计讨论(IC前端|FPGA|ASIC) volcasnow 2004-8-23 24 8583 3637320230 2012-12-11 14:54
fpga布线资源 数字IC设计讨论(IC前端|FPGA|ASIC) 潶崎一护 2011-6-2 2 3344 Lawee 2011-6-22 12:12
9054本地端ADS#始终为高? 交流讨论 dazui9054dsp 2009-4-9 11 3227 zonewone 2009-4-13 16:20
如何估计某个程序所耗的资源 数字IC设计讨论(IC前端|FPGA|ASIC) xueyw 2008-6-21 6 2540 sammerhu 2008-6-29 21:45
SHX-ARM7增强型S3C44BOX学习板原理图和实物图 ! attachment ARM 资料共享 云淡风轻 2006-12-27 17 11212 besarkecil 2017-10-28 09:35
Altera FPGA设计基础篇 attachment 数字IC设计资料(IC前端|FPGA|ASIC) huang7140 2009-8-22 22 3543 daniel317 2011-4-2 15:26
这里的资源好多阿 CPU设计杂谈 matrixwayne 2006-8-14 25 6132 yc.wenn 2007-12-7 13:22
UMTS空中接口与无线工程概论 attachment 资料区 xianing1229 2011-3-28 10 5335 qqss0086 2015-6-3 01:32
这个是国家精品课程资源网,有不少有用资源 SCILAB 论坛 new116 2011-5-23 12 10372 紫色霜枫 2012-7-6 09:47

相关日志

没有相关内容

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 03:28 , Processed in 0.009350 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部