在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子

tag 标签: synplify

相关帖子

版块 作者 回复/查看 最后发表
Synplify使用教程集合 attachment 数字IC设计资料(IC前端|FPGA|ASIC) lehaha 2008-4-28 789 102248 wfmkfirst 2021-11-26 16:22
synplify pro经典教程 attachment 数字IC设计资料(IC前端|FPGA|ASIC) gzp813 2008-7-1 30 7189 pptmaker 2018-9-11 02:05
Synplify工具使用指南 数字IC设计资料(IC前端|FPGA|ASIC) forest103459 2008-7-27 20 4647 yiyuan318 2014-4-23 16:24
synplify 教程 数字IC设计资料(IC前端|FPGA|ASIC) forest103459 2008-7-27 19 4484 kingmemory 2011-12-24 17:05
Synplify工具使用指南.pdf attachment 数字IC设计资料(IC前端|FPGA|ASIC) 2007chenwei 2008-9-23 27 8027 pptmaker 2018-8-27 02:25
华为_内部培训资料大全(EDA研发)【申请置顶】 attachment 数字IC设计资料(IC前端|FPGA|ASIC) verydc 2008-11-14 3806 270751 Gavin-35 2024-1-17 19:20
synplify 9.6.2 破解 attachment EDA资源使用讨论 ruijianming 2009-3-8 63 10808 yuanwolf2008 2011-2-17 15:08
Synplify pro 9.6.2破解教程 attachment EDA资源使用讨论 microee 2009-6-22 153 21467 apolo1969 2016-10-20 21:24
synplify,ISE,ModelSim后仿真流程 attachment 数字IC设计资料(IC前端|FPGA|ASIC) qinglang 2009-9-2 72 15841 __lp 2017-6-22 15:36
synplicity synplify v9.6.2, crack attachment EDA资源使用讨论 pinkpro 2009-12-3 111 15200 apolo1969 2017-2-28 17:20
最新的synopsys windows scl v11.1 (for synplify) attachment EDA资源使用讨论 rjoe0301 2010-2-3 118 31616 风也信子 2018-10-20 21:04
Synplify Pro 9.6.2破解 数字IC设计资料(IC前端|FPGA|ASIC) vincent9931 2010-5-2 15 6001 yiyuan318 2014-4-23 15:57
Synplify vD-2010.03 Linux Crack attachment EDA资源使用讨论 drksh 2010-6-14 198 28460 bingled 2010-11-29 14:58
请问synplify 2010 03 linux版本破解 EDA资源使用讨论 doke_du 2010-10-21 8 8609 skywing99724107 2012-4-5 13:37
synplify最新版本201009破解 attachment EDA资源使用讨论 zhanghi 2010-11-11 34 12592 cjchang1 2010-11-19 04:01
Synplify工具使用指南 attachment 数字IC设计资料(IC前端|FPGA|ASIC) stevean 2010-11-18 30 5710 jcchan 2017-5-10 15:17
Synplify快速入门.pdf attachment 数字IC设计资料(IC前端|FPGA|ASIC) stevean 2010-11-18 22 5275 pptmaker 2018-8-8 21:32
windows版本的synplify 9.6.2 (含破解方法)(part22、part43!!已经上传) attachment 数字IC设计资料(IC前端|FPGA|ASIC) vivid30 2010-11-21 34 9319 如烟的味道 2016-3-4 10:40
Synplify快速入门.pdf attachment 数字IC设计资料(IC前端|FPGA|ASIC) 2007chenwei 2008-9-23 31 5925 lg870318 2014-6-24 11:20

相关日志

分享 Synplify 2015破解安装(Win 10 )和使用
热度 1 H.H_Tang 2019-10-7 12:21
安装: 最近做了一个项目,其中需要简单地用到用Synopsys fpga,所以装了一下这个软件,以前也经常用Synopsys,但是都是Linux下的。这里写一下Win10 下装synplify PRO 2015.03的教程。 文件下载:链接: https://pan.baidu.com/s/14I7y1TDLPSqsq16PEoacCw 提取码:pg3d 文件包括:1.主要是fpga软件和 syno ...
个人分类: 软件使用|5028 次阅读|1 个评论

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 15:09 , Processed in 0.014100 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部