在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子

tag 标签: 软件

相关帖子

版块 作者 回复/查看 最后发表
关于VHDL for MPEG4 数字IC设计讨论(IC前端|FPGA|ASIC) jhshao 2004-11-6 10 14597 keen99 2006-6-15 07:30
工控软件,值得一看,新版即将发布! www.supercx.com 数字IC设计讨论(IC前端|FPGA|ASIC) qinyong99 2004-11-9 0 1718 qinyong99 2004-11-9 23:35
关于ModelSim的一个问题!!! 数字IC设计讨论(IC前端|FPGA|ASIC) jdfish 2004-11-25 1 1932 jdfish 2004-11-26 00:08
求助, SOC行业状况分析 数字IC设计讨论(IC前端|FPGA|ASIC) ghosttown 2004-12-29 7 2819 jingli888ca 2005-7-24 00:13
国家软件与集成电路服务中心 数字IC设计资料(IC前端|FPGA|ASIC) 九鼎 2005-6-23 2 2633 john 2006-2-11 10:59
想参加EDA电子设计大赛需要学什么东西啊? 数字IC设计讨论(IC前端|FPGA|ASIC) homzh 2005-8-25 4 3769 robberpk 2006-4-7 19:54
使用synpnify的时候的一个问题 数字IC设计资料(IC前端|FPGA|ASIC) lvkai38 2006-1-17 2 3913 lulu08171537 2015-12-17 12:48
vhdl与verilog转换软件 attachment 数字IC设计资料(IC前端|FPGA|ASIC) skybird 2006-3-22 23 6364 chfanjiang 2018-3-9 11:48
laoge 数字IC设计讨论(IC前端|FPGA|ASIC) laoge 2003-10-6 0 2118 laoge 2003-10-6 22:47
ModelSim XE II v5.6a的破解方法? 数字IC设计资料(IC前端|FPGA|ASIC) dragonyoo 2003-8-2 7 6268 chenzzg 2011-6-2 11:09
谁有Xilinx Foundation软件啊 数字IC设计讨论(IC前端|FPGA|ASIC) 小虾 2003-8-3 10 4923 gracioushe 2003-8-18 16:55
SPI问题。 数字IC设计讨论(IC前端|FPGA|ASIC) dairlom 2003-8-6 3 2850 lling65 2008-10-4 15:41
有了解ACTEL软件的吗? 数字IC设计讨论(IC前端|FPGA|ASIC) greycat 2003-8-20 3 2548 greycat 2003-8-20 18:38
发帖子的学问? 数字IC设计讨论(IC前端|FPGA|ASIC) yzguanzh 2003-8-29 7 2987 z1222499 2003-9-2 13:30
有关FLEX10K的配置问题 数字IC设计讨论(IC前端|FPGA|ASIC) songyang 2003-9-12 9 5030 yuanpin318 2009-11-24 15:07
★★★急急急!我的XC18V04!救命呀!!! 数字IC设计讨论(IC前端|FPGA|ASIC) jsboy 2003-9-24 11 4196 hainan_256 2012-9-13 17:54
SIMULATE时出现的问题? 数字IC设计讨论(IC前端|FPGA|ASIC) quan228228 2003-10-6 4 4307 ninaleiqi 2010-1-10 13:53
哪些软件可实现片内“线与”的功能? 数字IC设计讨论(IC前端|FPGA|ASIC) allheart 2003-10-10 14 3828 allheart 2003-10-14 15:30
modelsim问题的请教? 数字IC设计讨论(IC前端|FPGA|ASIC) bjvid 2004-5-20 8 2891 leowan 2005-11-7 20:46
[交流]EDA电子设计/嵌入式开发软件。 数字IC设计资料(IC前端|FPGA|ASIC) dec03 2005-12-22 0 2334 dec03 2005-12-22 09:16

相关日志

没有相关内容

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 08:05 , Processed in 0.021214 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部